WO2001036321A1 - Apparatus and method for forming a membrane with nanometer scale pores - Google Patents

Apparatus and method for forming a membrane with nanometer scale pores Download PDF

Info

Publication number
WO2001036321A1
WO2001036321A1 PCT/US2000/031749 US0031749W WO0136321A1 WO 2001036321 A1 WO2001036321 A1 WO 2001036321A1 US 0031749 W US0031749 W US 0031749W WO 0136321 A1 WO0136321 A1 WO 0136321A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
base layer
sacrificial
etch stop
membrane
Prior art date
Application number
PCT/US2000/031749
Other languages
French (fr)
Other versions
WO2001036321A9 (en
Inventor
Derek Hansford
Mauro Ferrari
Original Assignee
The Regents Of The University Of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The Regents Of The University Of California filed Critical The Regents Of The University Of California
Priority to JP2001538280A priority Critical patent/JP2003514677A/en
Priority to AU17781/01A priority patent/AU1778101A/en
Priority to EP00980528A priority patent/EP1233927A4/en
Publication of WO2001036321A1 publication Critical patent/WO2001036321A1/en
Publication of WO2001036321A9 publication Critical patent/WO2001036321A9/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/00158Diaphragms, membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0053Inorganic membrane manufacture by inducing porosity into non porous precursor membranes
    • B01D67/0058Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by selective elimination of components, e.g. by leaching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0053Inorganic membrane manufacture by inducing porosity into non porous precursor membranes
    • B01D67/006Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by elimination of segments of the precursor, e.g. nucleation-track membranes, lithography or laser methods
    • B01D67/0062Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by elimination of segments of the precursor, e.g. nucleation-track membranes, lithography or laser methods by micromachining techniques, e.g. using masking and etching steps, photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0072Inorganic membrane manufacture by deposition from the gaseous phase, e.g. sputtering, CVD, PVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D69/00Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor
    • B01D69/02Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor characterised by their properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/0215Silicon carbide; Silicon nitride; Silicon oxycarbide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/022Metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/022Metals
    • B01D71/0221Group 4 or 5 metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/02Details relating to pores or porosity of the membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/02Details relating to pores or porosity of the membranes
    • B01D2325/0283Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/04Characteristic thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/08Patterned membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/06Bio-MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/10Microfilters, e.g. for gas or fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0109Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/014Controlling etch progression by depositing an etch stop layer, e.g. silicon nitride, silicon oxide, metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/05Temporary protection of devices or parts of the devices during manufacturing
    • B81C2201/053Depositing a protective layers

Definitions

  • This invention relates generally to membranes with nanometer scale pores that may be used in filtering applications More particularly, this invention relates to the use of micro fab ⁇ cation processing techniques to form nanometer scale porous membranes
  • the invention includes a filter comprising a membrane of elemental silicon with sub-fifty nanometer pores formed within it.
  • the membrane has a glucose diffusion test result of at least 1 mg/dl and an albumin diffusion test result of at most 0.1 g/dl.
  • the filter has a substrate, a buried sacrificial etch stop layer positioned on the substrate, with the membrane positioned on the buried sacrificial etch stop layer.
  • the buried sacrificial etch stop layer is silicon nitride.
  • the method of the invention includes forming a membrane with nanometer scale pores.
  • a sacrificial etch stop layer is formed on a substrate.
  • a base layer is constructed on the sacrificial etch stop layer.
  • Micrometer scale pores are formed within the base layer.
  • a sacrificial base layer is built on the base layer. The sacrificial base layer is removed from selected regions of the base layer to define nanometer scale pores within the base layer.
  • FIGURE 1 illustrates a substrate with a sacrificial buried layer formed thereon in accordance with an embodiment of the invention.
  • FIGURE 2 illustrates a base layer formed on the sacrificial buried layer of Figure 1.
  • FIGURE 3 illustrates etched micrometer pores formed within a base layer and stopped by a sacrificial buried layer in accordance with the invention.
  • FIGURE 4 illustrates the deposition of a sacrificial base layer in accordance with an embodiment of the invention.
  • FIGURE 5 illustrates anchors formed in the sacrificial base layer utilized in accordance with the invention.
  • FIGURE 6 illustrates a plug layer formed in accordance with an embodiment of the invention.
  • FIGURE 7 illustrates the plug layer after mechanical polishing in accordance with an embodiment of the invention.
  • FIGURE 8 illustrates a protective layer and resultant selective etching utilized in accordance with an embodiment of the invention.
  • FIGURE 9 illustrates a fully released nanometer scale membrane after removal of the protective layers, and selective regions of the sacrificial buried layer.
  • FIGURE 10 illustrates processing steps used to construct the devices of Figures 1-9.
  • FIGURE 11 illustrates a device used to test the membrane of the invention.
  • FIGURE 12 illustrates glucose diffusion through three different nanopore membranes.
  • FIGURE 13 illustrates diffusion of glucose and albumin through micromachined nanopore membranes.
  • FIGURE 14 illustrates glucose diffusion through micromachined membranes incubated in pure glucose and mixed glucose/albumin solutions.
  • FIGURE 15 illustrates diffusion through millipore membranes incubated in pure glucose and mixed glucose/albumin solutions.
  • FIGURE 16 is a table illustrating diffusion of Albumin through various membranes. Like reference numerals refer to corresponding parts throughout the drawings.
  • the present invention relies upon many prior art techniques in forming a membrane with nanometer scale pores. However, the invention also departs from the prior art in several key respects. These departures from the prior art facilitate the formation of pores less than 50 nanometer.
  • the technique of the invention relies upon a buried sacrificial etch stop layer.
  • the buried sacrificial etch stop layer may be silicon nitride.
  • the buried sacrificial etch stop layer operates as an etch stop, and is then removed to expose the nanopores of the invention.
  • a buried sacrificial etch stop layer as an etchant stop during the formation of nanometer scale pores is believed to be novel. While buried etch stop layers are used for structural purposes in the prior art, it is not believed that the prior art shows or suggests the formation of a buried etch stop layer, which operates as an etchant stop during the formation of pores, and which is subsequently etched away to expose pores.
  • the buried sacrificial etch stop layer facilitates three-dimensional control of the pore structure.
  • Prior art techniques endeavored to control pore structure by balancing the etching of two different layers.
  • the buried sacrificial etch stop technique of the invention facilitates the formation of pores less than 50 nanometers. Moreover, these pores can be uniformly formed across the entire wafer.
  • the buried sacrificial etch stop layer of the invention eliminates the prior art use of diffused boron.
  • diffused boron is used as an etch stop it provides an imprecise membrane depth.
  • boron introduces stresses into the completed membrane.
  • the buried sacrificial etch stop layer of the invention provides absolute etching selectivity, as the layer will not be etched at all by the disclosed KOH etchant. In contrast, boron will be minimally etched in the presence of a KOH etchant.
  • the technique of the invention departs from prior art techniques in another important manner. Namely, the technique of the invention relies upon planarization of the outer structural layer to expose the total pore area, instead of the prior art approach of etching entrance holes in the top layer.
  • the first step in the fabrication protocol is to etch a support ridge structure into a substrate. This is accomplished by simply etching a ridge structure prior to the deposition of the etch stop layer.
  • the ridge provides mechanical rigidity to the subsequently formed membrane structure.
  • the buried sacrificial etch stop layer is then deposited on the substrate.
  • a low stress silicon nitride LSN or nitride
  • LPCVD low pressure chemical vapor depositions
  • 0.4 ⁇ m of silicon nitride was used.
  • Figure 1 illustrates a substrate 20 with a sacrificial etch stop layer 22 formed thereon.
  • the base structural layer (base layer) of the membrane is deposited on top of the stop layer 22. Because the stop layer 22 is thin, the structural layer is deposited down into the support ridges formed in the substrate 20. In one embodiment, 5 ⁇ m of polysilicon is used as the base layer.
  • Figure 2 illustrates the base layer 24 positioned on the stop layer 22. Low stress silicon nitride may also be used as the base layer, in which case it operates as its own etch stop layer.
  • the next processing step is to etch holes in the base layer 24 to define the shape of the pores.
  • Prior art masks may be used to define the pores.
  • the holes may be etched through the polysilicon by chlorine plasma, with a thermally grown oxide layer used as a mask.
  • the buried sacrificial etch stop layer 22 acts as an etch stop for the plasma etching of a silicon base layer 24.
  • Figure 3 illustrates the result of this processing.
  • the figure illustrates holes 26 formed in the base layer 24, but terminating in the buried sacrificial etch stop layer 22. At this stage, the holes 26 define micrometer scale pores.
  • Pore sacrificial oxide is subsequently grown on the base layer 24.
  • Figure 4 illustrates a sacrificial oxide 28 positioned on the base layer 24.
  • This sacrificial oxide 28 is also referred to as a nanometer scale sacrificial base layer or sacrificial base layer.
  • This sacrificial base layer 28 is used to define nanometer scale pores.
  • the thickness of the sacrificial base layer 28 determined the pore size in the final membrane, so control of this step is critical to reproducible membranes. This is accomplished by the thermal oxidation of the base layer 24 (e.g., a growth temperature of between 850-950° for approximately one hour with a ten minute anneal). Naturally, many techniques may be used to form a controlled thickness sacrificial base layer. For example, a thermally evaporated tungsten film can be used as a sacrificial base layer for polymer membranes and selectively removed with hydrogen peroxide.
  • the basic requirement of the sacrificial base layer 28 is the ability to control the thickness with high precision across the entire wafer.
  • a plug structural layer is subsequently deposited to file in the holes 26. This step has been implemented by depositing 1.5 ⁇ m of polysilicon.
  • the resultant plug layer 32 is shown in Figure 6.
  • the plug layer 32 is planarzied down to the base layer, leaving the final structure with the plug layer only in the pore hole openings, as shown in Figure 7.
  • the method of planarization depends on the material used as the plug material.
  • For the hard micro- fabrication materials polysilicon and nitride
  • chemical mechanical polishing was used for planarization.
  • the other materials studied were roughly planarized using a plasma etch, with a quick wet chemical smoothing. This technique has the advantage that, assuming it is not etched by the plasma used, the base layer is not affected, but has the disadvantage of the need for controlled etch timing to avoid completely etching the plugs themselves.
  • FIG. 8 illustrates a protective layer(s) 34.
  • the requirements of the protective layer 34 are that it be impervious to the silicon etch (KOH for these studies) and that it be removed without removing the plug 32 or base 24 structural layers.
  • a thin nitride layer is used as the protective layer (nitride is not etched at all by KOH and dissolves slowly in HF).
  • silicone is used as a protective layer, due to the processing temperature necessary for nitride deposition. (835° C).
  • FIG. 8 illustrates the resultant aperture 36 formed in the substrate 20.
  • each hole 26 defines a nanometer scale pore, with the sacrificial base layer 28 providing aperture size control.
  • Figure 10 summarizes the foregoing processing steps.
  • Figure 10 illustrates that the first processing step is to form a buried sacrificial etch stop layer on a substrate (step 50).
  • a base layer is then constructed on the etch stop layer (step 52).
  • Micrometer scale pores are then etched through the base layer to the etch stop layer (step 54).
  • a sacrificial base layer is then deposited on the base layer (step 56).
  • Anchors are then patterned in the sacrificial base layer (step 58).
  • a plug layer is then formed on the base layer (step 60).
  • the plug layer is subsequently planarized (step 62) and polished (step 64).
  • Protective layers are then formed on the base layer and substrate (step 66).
  • the protective layers are then selectively etched to form an aperture in the substrate (step 68).
  • the protective layer, plug layer, and portions of the buried sacrificial etch stop layer are then released (step 70) in the manner described above.
  • a membrane 40 (with 24.5 nanometer pore size +/- 0.9 nm) of the invention was compared with porous alumina (i.e., a WHATMAN ANODISC membrane with a pore size of .02 microns) and a mixed celluose acetate and nitrate membrane (i.e., a MILLIPORE ISOPORE with a pore size of 0.025 microns). All membranes were examined in vitro by measuring relative concentrations of glucose on both sides of the micro fabricated interface over time, using a mini diffusion chamber constructed around the membranes, as shown in Figure 11.
  • Figure 11 illustrates a chamber 80 with a first compartment 82 and a second compartment 84 with fixed volumes of 2 ml. Sampling ports 86 are provided in each compartment. The compartments are at least partially separated by the desired membrane 90. Preferably, the two compartments are sealed with o-rings and are screwed together.
  • Glucose is measured on either side of the membrane 90 using the diffusion chamber by means of a quantitative enzymatic assay (e.g., TRINDER, SIGMA) and colorometric reading via a spectrophotometer.
  • a quantitative enzymatic assay e.g., TRINDER, SIGMA
  • Samples of 0.1 ml were taken from the diffusion chamber and 10 ul of that were added to 3 ml of glucose reagent in a cuvette, and were mixed gently by inversion. Each tube was incubated for 18 minutes at room temperature and then readings were taken at a wavelength of 505 nm.
  • the reagent is linear up to 750 mg/dl.
  • the diffusion chamber itself was attached to a motor for stirring in order to minimize boundary layer effects (diffusion resistance at the liquid/membrane interface).
  • the receptor cell was first filled with phosphate buffer saline for fifteen minutes before the filling of the donor cell.
  • the donor cell was filled with solutions of glucose in phosphate buffer saline in varying concentrations. These tests were carried out at 37°C.
  • the foregoing results illustrate glucose diffusion test results of at least 1 mg/dl in 330 minutes.
  • the membrane has an albumin diffusion test result of at most 0.1 g/dl in 330 minutes.
  • microfabricated silicon membranes were characterized in terms of glucose diffusion, albumin exclusion and stability in biological environments. Results indicated that glucose does indeed diffuse through microfabricated membranes at a rate comparable to commercially available membranes. At the same time, albumin is excluded from passage. In a mixed solution of glucose and albumin, it has been shown that only glucose diffuses through the membranes. Although several membranes, such as those by WHATMAN and MILLIPORE are available for absolute filtration, these membranes do not have all the desired "ideal" membrane properties, such as stability, bio-compatibility, and well-controlled perm-selectivity.
  • the filter technology of the invention alleviates several of the problems associated with current commercially available separation membranes.
  • membranes can be fabricated with sufficient precision to guarantee high pore uniformity in sub-micron dimensions.
  • the thickness of the thermally grown oxide can be controlled to +/- lnm for nominal pore sizes as small as 18nm. This is the size range needed to obtain absolute protein exclusion and glucose diffusion for biosensor applications.
  • this filter technology can bring in the added advantages of stability, minimal protein adsorption through established silicon surface modification techniques, reusability, and sterilizability.
  • the invention has been disclosed in connection with fabricated elemental silicon.
  • the techniques of the invention may also be used in connection with other bio-compatible materials, such as metals (e.g., titanium), ceramics (e.g., silica or silicon nitride), and polymers (e.g., polytetrafluorethylene, polymethylmethacrylate, polystyrenes, and silicones).
  • metals e.g., titanium
  • ceramics e.g., silica or silicon nitride
  • polymers e.g., polytetrafluorethylene, polymethylmethacrylate, polystyrenes, and silicones.

Abstract

A method of forming a membrane with nanometer scale pores includes forming a sacrificial etch stop layer on a substrate. A base layer is constructed on the sacrificial etch stop layer. Micrometer scale pores are formed within the base layer. A sacrificial base layer is built on the base layer. The sacrificial base layer is removed from selected regions of the base layer to define nanometer scale pores within the base layer. The resultant membrane has sub-fifty nanometer pores formed within it.

Description

APPARATUS AND METHOD FOR FORMING A MEMBRANE WITH NANOMETER SCALE PORES
This application claims priority to the U.S Provisional Patent Application entitled, "Apparatus and Method for Forming a Membrane with Nanometer Scale Pores," Seπal Number 60/166,049, filed November 17, 1999
BRIEF DESCRIPTION OF THE INVENTION
This invention relates generally to membranes with nanometer scale pores that may be used in filtering applications More particularly, this invention relates to the use of micro fabπcation processing techniques to form nanometer scale porous membranes
BACKGROUND OF THE INVENTION
There is a revolution occurring in biological research. Emphasis is rapidly shifting towards the view of biology in terms of a complex seπes of physical and chemical interactions, and interdisciplinary research between engineers, biologists, physicists, and clinicians is becoming prevalent. A rapidly developing field of research is the use of micro-fabπcation to make mechanically, electrically, and/or chemically interactive structures for biological research and applications, known collectively as BioMEMS, with the term "Bio" referring to biology, and the term "MEMS" referring to MicroElectroMechamcal devices. By using semiconductor based micro-fabπcation techniques, MEM structures can be fabπcated with spatial features from the sub-micron range up to several millimeters. These multi-scale structures correspond well with hierarchical biological structures, from proteins and sub-cellular organelles to the tissue and organ levels This structural correlation allows scientists to investigate biological structure on their respective size scales and interact in more appropriate and responsive manners to the structures within the body and within biological fluids.
Conceivably, it would be desirable to use standard micro-lithography to produce structures that can be used for basic biological research, diagnostic, and therapeutic applications. However, conventional lithographic techniques have feature size limitations that prevent their use for fabricating structures that can physically interact with molecules of biological interest, such as proteins, nucleotides, and various physiological nutrients. To interact directly with these molecules, features must be fabricated with sizes less than 50 nm, which is not projected for state of the art lithography until the year 2008. Furthermore, because of the fabrication techniques which are used for MEMS structures and the potential for contamination they introduce, state of the art equipment will not be used to fabricate these structures, leading to a further delay in the fabrication of direct interaction structures.
In view of the foregoing, it would be highly desirable to provide a technique for fabricating membranes with features less than 50 nanometers. Ideally, such a technique would rely upon standard lithography processing techniques and would yield a device that is compatible with biological research, diagnostic, and therapeutic applications.
SUMMARY OF THE INVENTION
The invention includes a filter comprising a membrane of elemental silicon with sub-fifty nanometer pores formed within it. The membrane has a glucose diffusion test result of at least 1 mg/dl and an albumin diffusion test result of at most 0.1 g/dl. The filter has a substrate, a buried sacrificial etch stop layer positioned on the substrate, with the membrane positioned on the buried sacrificial etch stop layer. In one embodiment, the buried sacrificial etch stop layer is silicon nitride.
The method of the invention includes forming a membrane with nanometer scale pores. A sacrificial etch stop layer is formed on a substrate. A base layer is constructed on the sacrificial etch stop layer. Micrometer scale pores are formed within the base layer. A sacrificial base layer is built on the base layer. The sacrificial base layer is removed from selected regions of the base layer to define nanometer scale pores within the base layer. BRIEF DESCRIPTION OF THE DRAWINGS
For a better understanding of the invention, reference should be made to the following detailed description taken in conjunction with the accompanying drawings, in which: FIGURE 1 illustrates a substrate with a sacrificial buried layer formed thereon in accordance with an embodiment of the invention.
FIGURE 2 illustrates a base layer formed on the sacrificial buried layer of Figure 1.
FIGURE 3 illustrates etched micrometer pores formed within a base layer and stopped by a sacrificial buried layer in accordance with the invention.
FIGURE 4 illustrates the deposition of a sacrificial base layer in accordance with an embodiment of the invention.
FIGURE 5 illustrates anchors formed in the sacrificial base layer utilized in accordance with the invention. FIGURE 6 illustrates a plug layer formed in accordance with an embodiment of the invention.
FIGURE 7 illustrates the plug layer after mechanical polishing in accordance with an embodiment of the invention.
FIGURE 8 illustrates a protective layer and resultant selective etching utilized in accordance with an embodiment of the invention.
FIGURE 9 illustrates a fully released nanometer scale membrane after removal of the protective layers, and selective regions of the sacrificial buried layer.
FIGURE 10 illustrates processing steps used to construct the devices of Figures 1-9. FIGURE 11 illustrates a device used to test the membrane of the invention.
FIGURE 12 illustrates glucose diffusion through three different nanopore membranes.
FIGURE 13 illustrates diffusion of glucose and albumin through micromachined nanopore membranes. FIGURE 14 illustrates glucose diffusion through micromachined membranes incubated in pure glucose and mixed glucose/albumin solutions. FIGURE 15 illustrates diffusion through millipore membranes incubated in pure glucose and mixed glucose/albumin solutions.
FIGURE 16 is a table illustrating diffusion of Albumin through various membranes. Like reference numerals refer to corresponding parts throughout the drawings.
DETAILED DESCRIPTION OF THE INVENTION
The present invention relies upon many prior art techniques in forming a membrane with nanometer scale pores. However, the invention also departs from the prior art in several key respects. These departures from the prior art facilitate the formation of pores less than 50 nanometer.
First, the technique of the invention relies upon a buried sacrificial etch stop layer. By way of the example, the buried sacrificial etch stop layer may be silicon nitride. The buried sacrificial etch stop layer operates as an etch stop, and is then removed to expose the nanopores of the invention.
The invention's use of a buried sacrificial etch stop layer as an etchant stop during the formation of nanometer scale pores is believed to be novel. While buried etch stop layers are used for structural purposes in the prior art, it is not believed that the prior art shows or suggests the formation of a buried etch stop layer, which operates as an etchant stop during the formation of pores, and which is subsequently etched away to expose pores.
The buried sacrificial etch stop layer facilitates three-dimensional control of the pore structure. Prior art techniques endeavored to control pore structure by balancing the etching of two different layers. The buried sacrificial etch stop technique of the invention facilitates the formation of pores less than 50 nanometers. Moreover, these pores can be uniformly formed across the entire wafer.
The buried sacrificial etch stop layer of the invention eliminates the prior art use of diffused boron. When diffused boron is used as an etch stop it provides an imprecise membrane depth. In addition, boron introduces stresses into the completed membrane. Advantageously, the buried sacrificial etch stop layer of the invention provides absolute etching selectivity, as the layer will not be etched at all by the disclosed KOH etchant. In contrast, boron will be minimally etched in the presence of a KOH etchant.
The technique of the invention departs from prior art techniques in another important manner. Namely, the technique of the invention relies upon planarization of the outer structural layer to expose the total pore area, instead of the prior art approach of etching entrance holes in the top layer.
Preferably, the first step in the fabrication protocol is to etch a support ridge structure into a substrate. This is accomplished by simply etching a ridge structure prior to the deposition of the etch stop layer. The ridge provides mechanical rigidity to the subsequently formed membrane structure.
The buried sacrificial etch stop layer is then deposited on the substrate. For example, a low stress silicon nitride (LSN or nitride) is deposited on the substrate using low pressure chemical vapor depositions (LPCVD). In one embodiment, 0.4 μm of silicon nitride was used. The resultant structure is shown in Figure 1. Figure 1 illustrates a substrate 20 with a sacrificial etch stop layer 22 formed thereon.
The base structural layer (base layer) of the membrane is deposited on top of the stop layer 22. Because the stop layer 22 is thin, the structural layer is deposited down into the support ridges formed in the substrate 20. In one embodiment, 5 μm of polysilicon is used as the base layer. Figure 2 illustrates the base layer 24 positioned on the stop layer 22. Low stress silicon nitride may also be used as the base layer, in which case it operates as its own etch stop layer.
The next processing step is to etch holes in the base layer 24 to define the shape of the pores. Prior art masks may be used to define the pores. For example, the holes may be etched through the polysilicon by chlorine plasma, with a thermally grown oxide layer used as a mask. In this step, it is important to make sure the etching goes completely through the base layer 24, so a 10-15% overetch is preferably used. It is useful to note that the buried sacrificial etch stop layer 22 acts as an etch stop for the plasma etching of a silicon base layer 24. Otherwise, if the plasma punched through the etch stop layer, tighter control of the etch step layer would have to be exercised to prevent the complete removal of the nitride under the plug layer (to prevent removal in the final KOH etch). Figure 3 illustrates the result of this processing. In particular, the figure illustrates holes 26 formed in the base layer 24, but terminating in the buried sacrificial etch stop layer 22. At this stage, the holes 26 define micrometer scale pores.
Pore sacrificial oxide is subsequently grown on the base layer 24. Figure 4 illustrates a sacrificial oxide 28 positioned on the base layer 24. This sacrificial oxide 28 is also referred to as a nanometer scale sacrificial base layer or sacrificial base layer. This sacrificial base layer 28 is used to define nanometer scale pores.
The thickness of the sacrificial base layer 28 determined the pore size in the final membrane, so control of this step is critical to reproducible membranes. This is accomplished by the thermal oxidation of the base layer 24 (e.g., a growth temperature of between 850-950° for approximately one hour with a ten minute anneal). Naturally, many techniques may be used to form a controlled thickness sacrificial base layer. For example, a thermally evaporated tungsten film can be used as a sacrificial base layer for polymer membranes and selectively removed with hydrogen peroxide. The basic requirement of the sacrificial base layer 28 is the ability to control the thickness with high precision across the entire wafer. Thermal oxidation of both polysilicon and nitride allows the control of the sacrificial layer thickness of less than 5% across the entire wafer. Limitations on this control arise from local inhomogeneities in the base layer, such as the initial thickness of the native oxide (especially for polysilicon), the grain size or density, and the impurity concentrations. To mechanically connect the base layer 24 with the plug layer, which is necessary to maintain the pore spacing between layers, anchor points were defined in the sacrificial base layer 28. In the present design, this is accomplished by using the same mask shifted from the pore holes by 1 μm diagonally. This produced anchors in one or two corners of each pore hole, which provided the desired mechanical connection between the structural layers while opening as much pore area as possible. Figure 5 illustrates anchors 30 formed via this process.
A plug structural layer is subsequently deposited to file in the holes 26. This step has been implemented by depositing 1.5 μm of polysilicon. The resultant plug layer 32 is shown in Figure 6. To open the pores at the surface, the plug layer 32 is planarzied down to the base layer, leaving the final structure with the plug layer only in the pore hole openings, as shown in Figure 7. The method of planarization depends on the material used as the plug material. For the hard micro- fabrication materials (polysilicon and nitride), chemical mechanical polishing was used for planarization. The other materials studied were roughly planarized using a plasma etch, with a quick wet chemical smoothing. This technique has the advantage that, assuming it is not etched by the plasma used, the base layer is not affected, but has the disadvantage of the need for controlled etch timing to avoid completely etching the plugs themselves.
At this point, the membrane is ready for release, so a protective layer is deposited on the wafer (completely covering both sides of the wafer). Figure 8 illustrates a protective layer(s) 34.
The requirements of the protective layer 34 are that it be impervious to the silicon etch (KOH for these studies) and that it be removed without removing the plug 32 or base 24 structural layers. For polysilicon and nitride structural layers, a thin nitride layer is used as the protective layer (nitride is not etched at all by KOH and dissolves slowly in HF). For polymeric structural materials, silicone is used as a protective layer, due to the processing temperature necessary for nitride deposition. (835° C).
The backside etch windows were etched in the protective layer, exposing the silicon in desired areas. Then, the entire structure was placed in an 80° C KOH bath until the silicon wafer substrate 20 is etched up to the membrane base layer 24 (as evidenced by the smooth buried etch stop layer). Figure 8 illustrates the resultant aperture 36 formed in the substrate 20.
At this point, the buried sacrificial etch stop layer 22, the sacrificial oxide layer 34, and plug layer 32 are removed by etching in HF or SF6/oxygen plasma. The resultant membrane 40 with nanometer scale pores is shown in Figure 9. Each hole 26 defines a nanometer scale pore, with the sacrificial base layer 28 providing aperture size control.
Figure 10 summarizes the foregoing processing steps. Figure 10 illustrates that the first processing step is to form a buried sacrificial etch stop layer on a substrate (step 50). A base layer is then constructed on the etch stop layer (step 52).
Micrometer scale pores are then etched through the base layer to the etch stop layer (step 54). A sacrificial base layer is then deposited on the base layer (step 56). Anchors are then patterned in the sacrificial base layer (step 58). A plug layer is then formed on the base layer (step 60). The plug layer is subsequently planarized (step 62) and polished (step 64). Protective layers are then formed on the base layer and substrate (step 66). The protective layers are then selectively etched to form an aperture in the substrate (step 68). The protective layer, plug layer, and portions of the buried sacrificial etch stop layer are then released (step 70) in the manner described above. Observe in Figure 9 that the buried sacrificial etch stop layer 22 is removed at the location of the pores, but remains between the base layer 24 and the substrate 20. The performance of the membrane 40 of the invention was analyzed in comparison with two other types of membranes. In particular, a membrane 40 (with 24.5 nanometer pore size +/- 0.9 nm) of the invention was compared with porous alumina (i.e., a WHATMAN ANODISC membrane with a pore size of .02 microns) and a mixed celluose acetate and nitrate membrane (i.e., a MILLIPORE ISOPORE with a pore size of 0.025 microns). All membranes were examined in vitro by measuring relative concentrations of glucose on both sides of the micro fabricated interface over time, using a mini diffusion chamber constructed around the membranes, as shown in Figure 11.
Figure 11 illustrates a chamber 80 with a first compartment 82 and a second compartment 84 with fixed volumes of 2 ml. Sampling ports 86 are provided in each compartment. The compartments are at least partially separated by the desired membrane 90. Preferably, the two compartments are sealed with o-rings and are screwed together.
Glucose is measured on either side of the membrane 90 using the diffusion chamber by means of a quantitative enzymatic assay (e.g., TRINDER, SIGMA) and colorometric reading via a spectrophotometer. Samples of 0.1 ml were taken from the diffusion chamber and 10 ul of that were added to 3 ml of glucose reagent in a cuvette, and were mixed gently by inversion. Each tube was incubated for 18 minutes at room temperature and then readings were taken at a wavelength of 505 nm. The reagent is linear up to 750 mg/dl. The diffusion chamber itself was attached to a motor for stirring in order to minimize boundary layer effects (diffusion resistance at the liquid/membrane interface). In order to ensure wetting of the pores, the receptor cell was first filled with phosphate buffer saline for fifteen minutes before the filling of the donor cell. The donor cell was filled with solutions of glucose in phosphate buffer saline in varying concentrations. These tests were carried out at 37°C.
Albumin was measured on either side of the membrane using the same diffusion chamber. Albumin diffusion and/or exclusion was measured and quantified using Albumin BCP (bromocresol purple, Sigma.) A sample of 0.1 ml was taken at time zero and at the end of the diffusion period (time = 330 minutes). An aliquot of 300 ul was then added to 3 ml of the reagent and absorbance was read at 600 nm. Reagent plus deionized water was used as the blank. The assay is linear up to 6g/dl but is not accurate below 1 g/dl. Figures 12-15 illustrate the results of these tests. The results illustrate that glucose concentration increases and begins to plateau at 330 minutes. Figure 12 shows the diffusion of glucose from a pure glucose solution and a mixed solution of glucose and albumin through 24.5 nm pore-sized silicon membranes.
The presence of albumin does not seem to impede passage of glucose through the membranes, nor slow down glucose transport in the experimental conditions employed. Figure 13 shows that no detectable amounts of albumin diffuse through the microfabricated membrane. The same membrane, however, shows glucose diffusion. The microfabricated membranes are able to achieve complete exclusion of albumin (to within the limits of detection), while allowing glucose diffusion. Comparing these diffusion rates with those of commercially available membranes, it is seen in Figure 14 that microfabricated filters of the invention have glucose diffusion properties comparable to the MILLIPORE and WHITMAN membranes with similar pore size. However, when albumin diffusion is measured for all three membranes, the nanopore micromachined membranes of the invention have the greatest albumin exclusion, as shown in the table of Figure 16.
The foregoing results illustrate glucose diffusion test results of at least 1 mg/dl in 330 minutes. The membrane has an albumin diffusion test result of at most 0.1 g/dl in 330 minutes.
All of the membranes were evaluated before and after diffusion experiments to determine if any structural or surface changes had occurred. There were significant changes in membrane morphology for both the WHATMAN and MILLIPORE membranes after being incubated with glucose, albumin, and phosphate buffered saline for over 24 hours at 37°C. In contrast, the micromachined silicon membrane has the same appearance before and after the tests. In fact, the microfabricated membrane pores are free from biofouling and any agglomeration of the protein in the pores. The MILLIPORE and WHATMAN membranes display inhomogeneities and morphological changes after all diffusion tests.
In sum, the microfabricated silicon membranes were characterized in terms of glucose diffusion, albumin exclusion and stability in biological environments. Results indicated that glucose does indeed diffuse through microfabricated membranes at a rate comparable to commercially available membranes. At the same time, albumin is excluded from passage. In a mixed solution of glucose and albumin, it has been shown that only glucose diffuses through the membranes. Although several membranes, such as those by WHATMAN and MILLIPORE are available for absolute filtration, these membranes do not have all the desired "ideal" membrane properties, such as stability, bio-compatibility, and well-controlled perm-selectivity. The filter technology of the invention alleviates several of the problems associated with current commercially available separation membranes. Through the use of controlled sacrificial layer deposition, membranes can be fabricated with sufficient precision to guarantee high pore uniformity in sub-micron dimensions. The thickness of the thermally grown oxide can be controlled to +/- lnm for nominal pore sizes as small as 18nm. This is the size range needed to obtain absolute protein exclusion and glucose diffusion for biosensor applications. Moreover, this filter technology can bring in the added advantages of stability, minimal protein adsorption through established silicon surface modification techniques, reusability, and sterilizability. The invention has been disclosed in connection with fabricated elemental silicon. The techniques of the invention may also be used in connection with other bio-compatible materials, such as metals (e.g., titanium), ceramics (e.g., silica or silicon nitride), and polymers (e.g., polytetrafluorethylene, polymethylmethacrylate, polystyrenes, and silicones). The foregoing description, for purposes of explanation, used specific nomenclature to provide a thorough understanding of the invention. However, it will be apparent to one skilled in the art that the specific details are not required in order to practice the invention. In other instances, well known circuits and devices are shown in block diagram form in order to avoid unnecessary distraction from the underlying invention. Thus, the foregoing descriptions of specific embodiments of the present invention are presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, obviously many modifications and variations are possible in view of the above teachings. The embodiments were chosen and described in order to best explain the principles of the invention and its practical applications, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the following claims and their equivalents.

Claims

IN THE CLAIMS:
1. A method of forming a membrane with nanometer scale pores, said method comprising the steps of: forming a sacrificial etch stop layer on a substrate; constructing a base layer on said sacrificial etch stop layer; building a sacrificial base layer on said base layer; and removing said sacrificial base layer from selected regions of said base layer to define nanometer scale pores within said base layer.
2. The method of claim 1 wherein said constructing a base layer includes constructing a base layer with micrometer scale pores, said micrometer scale pores being constricted to said nanometer scale pores after sacrificial base layer is removed from selected regions of said base layer.
3. The method of claim 1 further comprising the step of patterning anchors in said sacrificial base layer.
4. The method of claim 3 further comprising the step of forming a plug layer on said base layer.
5. The method of claim 4 further comprising the step of planarizing said plug layer.
6. The method of claim 5 wherein said planarizing step includes the step of chemically mechanically polishing said plug layer.
7. The method of claim 5 further comprising the step of forming a protective layer on said base layer and said substrate.
8. The method of claim 7 further comprising the step of selectively etching said protective layer to form an aperture in said substrate.
9. The method of claim 8 further comprising the step of releasing said protective layer.
10. The method of claim 8 further comprising the step of releasing said plug layer.
11. The method of claim 8 further comprising the step of selectively releasing said sacrificial etch stop layer.
12. The method of claim 1 wherein forming said sacrificial etch stop layer on said substrate includes forming a silicon nitride layer on said substrate.
13. An apparatus formed by the method of claim 1.
14. A filter, comprising: a membrane of elemental silicon with sub-fifty nanometer pores formed therein.
15. The filter of claim 14 wherein said membrane has a glucose diffusion test result of at least 1 mg/dl and an albumin diffusion test result of at most 0.1 g/dl.
16. The filter of claim 14 wherein said glucose diffusion test and said albumin diffusion test are performed over 330 minutes.
17. The filter of claim 14 further comprising: a substrate; a buried sacrificial etch stop layer positioned on said substrate; and said membrane positioned on said buried sacrificial etch stop layer.
18. The filter of claim 17 wherein said buried sacrificial etch stop layer is silicon nitride.
19. The filter of claim 17 further comprising oxide anchors formed between said membrane and said buried sacrificial etch stop layer.
PCT/US2000/031749 1999-11-17 2000-11-17 Apparatus and method for forming a membrane with nanometer scale pores WO2001036321A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001538280A JP2003514677A (en) 1999-11-17 2000-11-17 Apparatus and method for forming nanometer-scale porous film
AU17781/01A AU1778101A (en) 1999-11-17 2000-11-17 Apparatus and method for forming a membrane with nanometer scale pores
EP00980528A EP1233927A4 (en) 1999-11-17 2000-11-17 Apparatus and method for forming a membrane with nanometer scale pores

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16604999P 1999-11-17 1999-11-17
US60/166,049 1999-11-17

Publications (2)

Publication Number Publication Date
WO2001036321A1 true WO2001036321A1 (en) 2001-05-25
WO2001036321A9 WO2001036321A9 (en) 2002-07-04

Family

ID=22601590

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/031749 WO2001036321A1 (en) 1999-11-17 2000-11-17 Apparatus and method for forming a membrane with nanometer scale pores

Country Status (4)

Country Link
EP (1) EP1233927A4 (en)
JP (1) JP2003514677A (en)
AU (1) AU1778101A (en)
WO (1) WO2001036321A1 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003028862A1 (en) * 2001-10-02 2003-04-10 Sophion Bioscience A/S Sieve electroosmotic flow pump
WO2003028861A1 (en) * 2001-10-02 2003-04-10 Sophion Bioscience A/S Corbino disc electroosmotic flow pump
FR2844725A1 (en) * 2002-09-24 2004-03-26 Commissariat Energie Atomique Preparation of biomimetic membrane, useful e.g. for studying properties of biological membranes, by forming pores in the surface of micromachineable material
WO2005007276A1 (en) * 2003-07-11 2005-01-27 N F T Nanofiltertechnik Gesellschaft Mit Beschränkter Haftung Filter element and method for the production thereof
WO2005105272A1 (en) * 2004-04-20 2005-11-10 The Regents Of The University Of California Smart membranes for nitrate removal, water purification, and selective ion transportation
WO2005105276A2 (en) * 2004-05-03 2005-11-10 Friesland Brands B.V. Device with a membrane on a carrier, as well as a method for manufacturing such a membrane
EP1611941A2 (en) * 2004-06-30 2006-01-04 Friesland Brands B.V. Membrane on a support, and method for manufacturing such a membrane
JP2006518675A (en) * 2003-02-24 2006-08-17 コリウム インターナショナル, インコーポレイテッド Manufacturing method of microstructure having a plurality of microelements having through holes
JP2008540070A (en) * 2005-04-29 2008-11-20 ユニバーシティー オブ ロチェスター Ultrathin porous nanoscale membrane, its production method and use
EP2517779A1 (en) * 2011-04-26 2012-10-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Nanosieve composite membrane
US8911749B2 (en) 2007-04-16 2014-12-16 Corium International, Inc. Vaccine delivery via microneedle arrays
US9114238B2 (en) 2007-04-16 2015-08-25 Corium International, Inc. Solvent-cast microprotrusion arrays containing active ingredient
US9687641B2 (en) 2010-05-04 2017-06-27 Corium International, Inc. Method and device for transdermal delivery of parathyroid hormone using a microprojection array
US9962534B2 (en) 2013-03-15 2018-05-08 Corium International, Inc. Microarray for delivery of therapeutic agent, methods of use, and methods of making
US10195409B2 (en) 2013-03-15 2019-02-05 Corium International, Inc. Multiple impact microprojection applicators and methods of use
US10245422B2 (en) 2013-03-12 2019-04-02 Corium International, Inc. Microprojection applicators and methods of use
US10384046B2 (en) 2013-03-15 2019-08-20 Corium, Inc. Microarray for delivery of therapeutic agent and methods of use
US10384045B2 (en) 2013-03-15 2019-08-20 Corium, Inc. Microarray with polymer-free microstructures, methods of making, and methods of use
US10624843B2 (en) 2014-09-04 2020-04-21 Corium, Inc. Microstructure array, methods of making, and methods of use
US10857093B2 (en) 2015-06-29 2020-12-08 Corium, Inc. Microarray for delivery of therapeutic agent, methods of use, and methods of making
US11052231B2 (en) 2012-12-21 2021-07-06 Corium, Inc. Microarray for delivery of therapeutic agent and methods of use

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1721657A1 (en) * 2005-05-13 2006-11-15 SONY DEUTSCHLAND GmbH A method of fabricating a polymeric membrane having at least one pore
EP3793637A4 (en) * 2018-05-18 2022-03-02 The Regents Of The University Of California In vivo blood filtration membranes and devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770076A (en) * 1994-03-07 1998-06-23 The Regents Of The University Of California Micromachined capsules having porous membranes and bulk supports
US5798042A (en) * 1994-03-07 1998-08-25 Regents Of The University Of California Microfabricated filter with specially constructed channel walls, and containment well and capsule constructed with such filters
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US5938923A (en) * 1997-04-15 1999-08-17 The Regents Of The University Of California Microfabricated filter and capsule using a substrate sandwich
US5948255A (en) * 1994-03-07 1999-09-07 The Regents Of The University Of California Microfabricated particle thin film filter and method of making it

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801380A (en) * 1987-12-23 1989-01-31 The Texas A&M University System Method of producing a silicon film with micropores
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US6405066B1 (en) * 2000-03-17 2002-06-11 The Regents Of The University Of California Implantable analyte sensor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770076A (en) * 1994-03-07 1998-06-23 The Regents Of The University Of California Micromachined capsules having porous membranes and bulk supports
US5798042A (en) * 1994-03-07 1998-08-25 Regents Of The University Of California Microfabricated filter with specially constructed channel walls, and containment well and capsule constructed with such filters
US5948255A (en) * 1994-03-07 1999-09-07 The Regents Of The University Of California Microfabricated particle thin film filter and method of making it
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US5938923A (en) * 1997-04-15 1999-08-17 The Regents Of The University Of California Microfabricated filter and capsule using a substrate sandwich

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1233927A4 *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003028861A1 (en) * 2001-10-02 2003-04-10 Sophion Bioscience A/S Corbino disc electroosmotic flow pump
US7037082B2 (en) 2001-10-02 2006-05-02 Sophion Bioscience A/S Corbino disc electroosmotic flow pump
WO2003028862A1 (en) * 2001-10-02 2003-04-10 Sophion Bioscience A/S Sieve electroosmotic flow pump
FR2844725A1 (en) * 2002-09-24 2004-03-26 Commissariat Energie Atomique Preparation of biomimetic membrane, useful e.g. for studying properties of biological membranes, by forming pores in the surface of micromachineable material
EP1407808A1 (en) * 2002-09-24 2004-04-14 Commissariat A L'energie Atomique Method for producing a biomimetic membrane, biomimetic membrane and uses thereof
JP4787738B2 (en) * 2003-02-24 2011-10-05 コリウム インターナショナル, インコーポレイテッド Manufacturing method of microstructure having a plurality of microelements having through holes
JP2006518675A (en) * 2003-02-24 2006-08-17 コリウム インターナショナル, インコーポレイテッド Manufacturing method of microstructure having a plurality of microelements having through holes
WO2005007276A1 (en) * 2003-07-11 2005-01-27 N F T Nanofiltertechnik Gesellschaft Mit Beschränkter Haftung Filter element and method for the production thereof
US7655075B2 (en) 2003-07-11 2010-02-02 NFT Nonofiltertechnik Gesellschaft Mit Beschrankter Haftung Filter element and method for the production thereof
DE10353894B4 (en) * 2003-07-11 2007-02-15 Nft Nanofiltertechnik Gmbh Filter element and method for its production
CN100434150C (en) * 2003-07-11 2008-11-19 Nft纳米过滤技术有限责任公司 Filter element and production method thereof
AU2004257407B2 (en) * 2003-07-11 2010-07-01 N F T Nanofiltertechnik Gesellschaft Mit Beschrankter Haftung Filter element and method for the production thereof
WO2005105272A1 (en) * 2004-04-20 2005-11-10 The Regents Of The University Of California Smart membranes for nitrate removal, water purification, and selective ion transportation
WO2005105276A3 (en) * 2004-05-03 2005-12-22 Friesland Brands Bv Device with a membrane on a carrier, as well as a method for manufacturing such a membrane
WO2005105276A2 (en) * 2004-05-03 2005-11-10 Friesland Brands B.V. Device with a membrane on a carrier, as well as a method for manufacturing such a membrane
JP2007536071A (en) * 2004-05-03 2007-12-13 フリースランド・ブランズ・ビー・ヴイ Device having a membrane on a carrier and method for producing such a membrane
EP1611941A3 (en) * 2004-06-30 2006-01-18 Friesland Brands B.V. Membrane on a support, and method for manufacturing such a membrane
EP1611941A2 (en) * 2004-06-30 2006-01-04 Friesland Brands B.V. Membrane on a support, and method for manufacturing such a membrane
JP2008540070A (en) * 2005-04-29 2008-11-20 ユニバーシティー オブ ロチェスター Ultrathin porous nanoscale membrane, its production method and use
US9498524B2 (en) 2007-04-16 2016-11-22 Corium International, Inc. Method of vaccine delivery via microneedle arrays
US10238848B2 (en) 2007-04-16 2019-03-26 Corium International, Inc. Solvent-cast microprotrusion arrays containing active ingredient
US8911749B2 (en) 2007-04-16 2014-12-16 Corium International, Inc. Vaccine delivery via microneedle arrays
US9114238B2 (en) 2007-04-16 2015-08-25 Corium International, Inc. Solvent-cast microprotrusion arrays containing active ingredient
US9452280B2 (en) 2007-04-16 2016-09-27 Corium International, Inc. Solvent-cast microprotrusion arrays containing active ingredient
US11419816B2 (en) 2010-05-04 2022-08-23 Corium, Inc. Method and device for transdermal delivery of parathyroid hormone using a microprojection array
US9687641B2 (en) 2010-05-04 2017-06-27 Corium International, Inc. Method and device for transdermal delivery of parathyroid hormone using a microprojection array
WO2012148270A1 (en) * 2011-04-26 2012-11-01 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Nanosieve composite membrane
EP2517779A1 (en) * 2011-04-26 2012-10-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Nanosieve composite membrane
US11052231B2 (en) 2012-12-21 2021-07-06 Corium, Inc. Microarray for delivery of therapeutic agent and methods of use
US10245422B2 (en) 2013-03-12 2019-04-02 Corium International, Inc. Microprojection applicators and methods of use
US11110259B2 (en) 2013-03-12 2021-09-07 Corium, Inc. Microprojection applicators and methods of use
US10195409B2 (en) 2013-03-15 2019-02-05 Corium International, Inc. Multiple impact microprojection applicators and methods of use
US10384045B2 (en) 2013-03-15 2019-08-20 Corium, Inc. Microarray with polymer-free microstructures, methods of making, and methods of use
US10384046B2 (en) 2013-03-15 2019-08-20 Corium, Inc. Microarray for delivery of therapeutic agent and methods of use
US9962534B2 (en) 2013-03-15 2018-05-08 Corium International, Inc. Microarray for delivery of therapeutic agent, methods of use, and methods of making
US11565097B2 (en) 2013-03-15 2023-01-31 Corium Pharma Solutions, Inc. Microarray for delivery of therapeutic agent and methods of use
US10624843B2 (en) 2014-09-04 2020-04-21 Corium, Inc. Microstructure array, methods of making, and methods of use
US10857093B2 (en) 2015-06-29 2020-12-08 Corium, Inc. Microarray for delivery of therapeutic agent, methods of use, and methods of making

Also Published As

Publication number Publication date
EP1233927A1 (en) 2002-08-28
AU1778101A (en) 2001-05-30
WO2001036321A9 (en) 2002-07-04
JP2003514677A (en) 2003-04-22
EP1233927A4 (en) 2003-01-08

Similar Documents

Publication Publication Date Title
EP1233927A1 (en) Apparatus and method for forming a membrane with nanometer scale pores
US20030205552A1 (en) Method of forming a membrane with nanometer scale pores and application to biofiltration
Pantoja et al. Bilayer reconstitution of voltage-dependent ion channels using a microfabricated silicon chip
US6405066B1 (en) Implantable analyte sensor
Chu et al. Silicon membrane nanofilters from sacrificial oxide removal
US5770076A (en) Micromachined capsules having porous membranes and bulk supports
JP2003527599A (en) Embedded analyte sensor
US7312046B2 (en) Method of screening compounds using a nanoporous silicon support containing macrowells for cells
US5985164A (en) Method for forming a filter
US20150283513A1 (en) Particulate nanosorting stack
Fine et al. A robust nanofluidic membrane with tunable zero-order release for implantable dose specific drug delivery
JP6678238B2 (en) Method of making a fluid cavity by transmembrane etching through a porous membrane and structures produced thereby and uses of such structures
Sandison et al. Rapid fabrication of polymer microfluidic systems for the production of artificial lipid bilayers
JP2018504579A (en) Components based on a configurable substrate having a three-dimensional membrane structure with holes in the nm range and methods of semiconductor technology for its manufacture
US20040149688A1 (en) Method for producing a biomimetic membrane, biomimetic membrane and its applications
Ferrari et al. Silicon nanotechnology for biofiltration and immunoisolated cell xenografts
Dougherty et al. Microfabrication using one-step LPCVD porous polysilicon films
Frese et al. In situ generation of electrochemical gradients across pore-spanning membranes
Goryll et al. Silicon-based ion channel sensor
US20040120854A1 (en) Silicon-wafer based devices and methods for analyzing biological material
Petrossian et al. Fabrication of cylindrical nanopores and nanopore arrays in silicon-on-insulator substrates
Zahn et al. Microfabricated microdialysis microneedles for continuous medical monitoring
US6660648B1 (en) Process for manufacture of semipermeable silicon nitride membranes
Kim et al. Ultra-thin membrane filter with a uniformly arrayed nanopore structure for nanoscale separation of extracellular vesicles without cake formation
NL9301971A (en) Microfiltration membrane, and method for fabricating such a membrane

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 538280

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000980528

Country of ref document: EP

AK Designated states

Kind code of ref document: C2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

COP Corrected version of pamphlet

Free format text: PAGES 1/6-6/6, DRAWINGS, REPLACED BY NEW PAGES 1/6-6/6; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWP Wipo information: published in national office

Ref document number: 2000980528

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWW Wipo information: withdrawn in national office

Ref document number: 2000980528

Country of ref document: EP